扫描打开手机站
随时逛,及时抢!
当前位置:首页>综合资讯>

从砂到芯:芯片的一生

从砂到芯:芯片的一生

时间:2023-06-23 01:04:56 来源:网络整理 作者:bianji123

芯片承载着人类最先进的技术。 现在中国已经成为芯片设计强国,但在芯片制造方面却处处陷入困境。 芯片制造的难点在哪里?

今天,芯片已经形成了非常成熟和专业化的制造工艺[1],它不是简单地一步完成,而是按照一定的时间间隔和空间顺序分成多个阶段[2]。 一般来说,芯片制造分为晶圆加工制造、前道工序(芯片加工)和后道工序(封装测试)三大环节。 我国主要以晶圆加工制造、后端封装测试为主。 制程中大部分高端设备和材料基本都是空白,因此高端芯片往往需要进口。 [3]

本文是“国科硬科技”策划的“国产替代”系列文章的第二十四篇,聚焦半导体制造全流程。 在本文中,您将了解到:半导体制造全过程的技术细节,半导体制造全过程涉及哪些设备和材料,国内外半导体制造全过程的发展情况。

付斌 | 作者

李拓 | 编辑

1、晶圆制造:先有晶圆,后有磁芯

要想获得芯片,首先要将石英砂做成薄薄的晶圆(或基板),然后进行后续加工,最后切割成芯片。

因此,晶圆加工制造是半导体产业中最上游、最基础的产业,分为硅初提纯、单晶硅制造、晶圆制造三个子产业。

集成电路的生产过程:从石英砂到芯片[4]

威化饼和威化饼干在英文中都是威化饼。 这并非巧合。 例如,威化饼的生产就像饼干的生产一样。 面粉过筛,然后与调料和水混合,搅拌成面团后,擀压成型为饼胚,然后切块。 晶圆制造也是如此,但晶圆制造对原材料和工艺的要求极其严格和复杂。

由于硅占地壳的25.8%,储量丰富且易得,因此硅基半导体是产量最大、应用最广泛的半导体材料。 但并不是所有的硅都可以做成芯片。 芯片制程规模已经达到纳米级,任何微小的杂质都会影响芯片的正常工作。 9)高纯多晶硅。

不同的芯片需要不同类型的晶圆,就像生产不同口味的饼干一样。 根据不同的指标,晶圆分为多种类型。

半导体硅片分类[5]

按照工艺,晶圆大致可分为三类:抛光晶圆、外延晶圆、SOI晶圆。 不管做成什么样的晶圆,它的起源都是抛光片,因为其他类型的晶圆都是在抛光片的基础上进行二次加工的产物。 例如,在抛光片的基础上进行退火,就成为退火片,它可以有非常多的分支。

晶圆、制表的主要类型及特点丨国科哈德科技

来源丨上海硅业招股说明书[6]

不同类型晶圆的生产工艺极其复杂:

半导体抛光片和外延片工艺流程图[6]

SOI芯片工艺流程[6]

硅片按直径分为2英寸(50mm)、3英寸(75mm)、4英寸(100mm)、5英寸(125mm)、6英寸(150mm)、8英寸(200mm)和12英寸(300mm) ) 等规范。

晶圆尺寸越大,每片晶圆可制造的芯片越多,单位芯片成本越低。 就像做馅饼一样,馅饼越大,可以切出的同样大小的块就越多。

此外,在晶圆上切割芯片时,某些边缘区域无法使用。 想象一下在圆上切一个正方形,不可能在边缘切出一个完整的正方形。 无论采用何种晶圆进行生产,芯片尺寸规格都是固定的,因此晶圆尺寸越大,晶圆的边缘损失就越小,大尺寸晶圆可以进一步降低芯片成本。

那么,既然圆形晶圆的边缘有这么多无法使用的区域,为什么不把它做成“晶圆”呢? 其实,科学家们并不是没有想过这个问题,而是由于技术的限制,成为了历史遗留问题。

首先,单晶生长的硅棒是圆柱形的,切成薄片后就变成了圆形; 其次,圆柱形单晶硅锭更容易运输,避免因凸块而造成材料损失; 另外,圆形物体也方便后续步骤。 手术; 最后,有些边即使做成立方体也仍然无法使用,计算表明圆边比方形更不浪费。 [8]

全球不同尺寸晶圆出货面积占比[6]

以8英寸和12英寸硅抛光片为例,在相同工艺条件下,12英寸硅片的可用面积是8英寸硅片的两倍多,可用率(指标为衡量每单位晶圆可生产的芯片数量)约为8英寸硅晶圆的2.5倍。 [6]

当然,晶圆尺寸越大,制造难度越大,对生产技术、设备、材料、工艺的要求也越高。 具体来说,关键技术指标包括局部平整度、边缘局部平整度、纳米形貌、氧含量、高度径向二阶导数等,残余体积等参数指标则有较高要求。

8" 与 12" 抛光垫[6]

不仅硅可以做成晶圆,目前半导体材料已经发展到第四代。 第一代半导体材料以Si(硅)、Ge(锗)为代表,第二代半导体材料以GaAs(砷化镓)、InP(磷化铟)为代表,第三代半导体材料以以GaN(氮化镓)、SiC(碳化硅)为代表,第四代半导体材料以氮化铝(AlN)、氧化镓(Ga2O3)、金刚石(C)为代表。

但是,90%以上的芯片仍然需要使用半导体硅片作为衬底。

纵观全球硅片市场,主要为国际厂商占据,市场集中度较高。 2021年全球硅片市场CR5占比94%。 前五名的制造商是信越和SUMCO。 ,中国台湾环球晶圆( ),德国世创( ),韩国 (SK)。 [9]

相比之下,国内技术薄弱,业务规模小,集中度低。 大部分产品尺寸为8英寸及以下。 国内半导体晶圆企业主要有上海硅业、中环股份、立昂微、中晶科技、研究院等。 、Musk等,单一厂商市场占有率不超过10%,硅片以8英寸及以下为主。 12英寸晶圆是近两年中国产业关注的焦点:例如,灿芯半导体是一家专注于模拟芯片领域的12英寸芯片制造公司,已进入全面量产,计划总投资370亿元[10]; 月加工2万片12英寸智能传感器晶圆量产线项目总投资70亿元。 [11]

从数据来看,2019-2021年国内硅片市场规模将连续突破10亿美元,2021年将达到16.56亿美元,同比增长24.04%。 预计2022年将达到19.22亿美元。 [12]

2021年全球硅片市场格局[9]

从全球第二代半导体(GaAs、InP)衬底和第三代半导体(GaN、SiC)衬底来看,我国已经有一大批相关企业,但整体产能存在差距和国际水平。

第二代半导体和第三代半导体晶圆基板全球市场与国内发展对比、列表丨国科哈德科技

参考文献丨上海微系统所战略研究室[13]

2、前工序:堆垛设备的工序

“这里就像我想象中的乐园……只是机器人多了一些。” 这是专家对半导体制造厂的评价。 [14]

首先,有了装备,才能谈制造。 在晶圆厂的资本支出中,晶圆加工设备的资本支出也是最大的,占比达70%~80%。 [15]

集成电路制造中典型的资本支出结构[15]

在芯片生产过程中,上千台工艺设备同时运行。 可以说制造装备难,而要有序的生产这些装备更是难上加难。

预芯片工艺包括光刻、干法刻蚀、湿法刻蚀、化学气相沉积、物理气相沉积、等离子清洗、湿法清洗、热处理、电镀处理、化学表面处理和机械表面处理等,其中很多都会被反复使用。 复杂的。

每个前工序都对应相应的设备,包括光刻机、胶水显影机、刻蚀机、薄膜沉积设备、离子注入设备、热处理设备(氧化退火设备)、化学机械平坦化(CMP)设备、清洗设备、工艺检测设备等

半导体制造与半导体材料产业示意图[9]

在前处理中,设备的选择主要围绕工艺技术进行,即经常提到的28nm、14nm、10nm、7nm、4nm、3nm……工艺越小,制造难度越大,并且对装备的要求也越高。 目前,28nm是行业的分水岭。 比28nm先进的就是先进工艺,反之就是成熟工艺。

制造工艺按照摩尔定律迭代,即芯片上的晶体管数量每18到24个月就会增加一倍,性能也会增加一倍。

在国际设备与系统路线图(IRDS)中,全面体现了各个工艺节点所需的系统级新技术,也就是说,未来几年最先进的工艺将采用什么设备,而IRDS也会随着流程的升级不断更新版本。

IRDS 未来工艺节点的技术规划[16]

从价值构成来看,光刻、刻蚀和薄膜沉积是前处理中最重要的三个环节。 2021年,光刻机、刻蚀机和薄膜沉积设备(包括CVD、ALD、PVD)的投资比例分别为20%、25%和22%,合计占超级装备总支出的60%。 [17]

2021年全球半导体设备产值分布[17]

纵观我国不同设备的国产化率,虽然整体呈上升趋势,但整体国产化率仍然较低,上游产能极其薄弱。

国内半导体制造设备概览、制表丨阔科硬科技

参考文献丨国海证券[18]

接下来国科硬科技将提供光刻机、涂胶显影机、刻蚀机、薄膜沉积设备、热处理设备(氧化退火设备)、离子注入设备、化学机械摊销(CMP)设备、清洗设备、工艺对几大类检测设备中价值最高的九类设备进行了详细分析。

光刻

光刻机是芯片制造中最大、最精密、难度最大、成本最高的设备。 光刻成本占芯片制造总成本的三分之一,耗时约占整个硅片生产时间的40%~60%,也决定了芯片上的晶体管可以做到多小制成。 [19]

光刻设备是一种投影曝光系统,由紫外光源、光学镜头、对准系统等部件组装而成[20]。 将曝光印刷在硅基板的感光材料(显微)上,实现图案转移。 其中,光掩模相当于相机胶片,比芯片大得多,也是通过光刻获得的,但通常采用无掩模直写光刻制造。

光刻的思想来源于印刷技术。 不同之处在于,印刷是通过纸张上油墨的光反射率的变化来记录信息,而平版则是利用光与感光物质的光化学反应来实现对比度的变化[21]。 例如,光刻机是一个巨大的单反相机,它可以将光掩模上的图案缩小数百万倍,并通过光化学反应将其转移到晶圆上。 [22]

光刻技术先后经历了接触式光刻、接近式光刻、全硅片扫描投影光刻、步进重复投影光刻以及现在的步进扫描投影光刻[23],光源经历了五个波长的迭代:从紫外波段的初始高压放电汞灯 g 线 (436 nm) 至 i 线 (365 nm),深紫外 (DUV) 波段的准分子激光 KrF (248 nm) 和 ArF (193 nm) , 到最先进的 13.5nm 极紫外 (EUV)。 [24]

为什么光刻机制造这么难? 挑战之一是进一步提高紫外光刻机的性能。 开发难度大、成本高。 从第一代光刻机到最先进的第五代光刻机,光源的波长从436nm缩短到13.5nm,除了光源产生困难之外,极紫外光在光刻机中的衰减也越来越大。光束传输和光学元件表面粗糙度的控制极其困难; 另一个挑战是,芯片二维密度的无限增加必然会遇到量子极限,而芯片的两条线电子运行规则的前提是它们互不干扰。 当硅芯片的密度在物理尺度上降低到小于1纳米时,它们就会受到干扰,不再遵循经典电子学定律。 这无疑是一个巨大的挑战。 [25]

不仅如此,在良品率的压力下,还需要保证芯片足够便宜[26]。 例如Intel的CPU设计文件一般在10GB以上,而ASML的NXT:2050i每小时可曝光295片300mm(12英寸)晶圆[24],Intel Ice Lake系列CPU单12英寸约485片即可从晶圆上切下来。 在这种情况下,极限可以暴露每小时 143,000 个筹码。 这种制造能力可以将单个CPU的成本降低到大众可以承受的数万至数千美元。 [25]

此外,光刻系统涉及的技术也极其细致,包括:

250nm至1nm技术节点关键光刻级设计规则总结[30]

光刻机在半导体设备价值链中的占比高达 20%。 目前,行业内主要的光刻机公司有荷兰ASML(阿斯麦)、日本尼康(Nikon)、日本佳能(Canon)等。 [22]

在市场方面,ASML、尼康、佳能基本垄断了市场。 2022年,ASML的出货量将占全球出货量的82%,佳能占10%,尼康占8%。 其中,ASML拥有完整的光刻机系列,是全球唯一一家可以生产EUV光刻机的公司。 目前最小工艺达到3nm; Nikon专注于DUV光刻机,也可以生产浸没式光刻机; 佳能的产品集中在中低端。 [31]

从具体数据来看,2022年ASML、尼康、佳能集成电路光刻机总出货量为551台,较2021年的478台增长15%,EUV三大高端机型、ArFi 和 ArF 总计 551 台。 出货量157台,较2021年的152台增长约3%。另外,EUV光刻机ASML市场占有率100%,ArFi光刻机ASML市场占有率超95%,ArF光刻机ASML市场占有率超87% %,KrF光刻机ASML市场占有率72%以上,i-line光刻机ASML市场占有率23%以上。 [32]

2022年全球半导体光刻机前三大厂商出货量[31] 涂布、显影机

胶水显影(或涂层显影)设备虽然在结构上不像光刻机那么复杂,但也是必不可少的。 是光刻工艺中必不可少的设备。 [21]

对于光刻工艺来说,晶圆上光刻胶涂层的厚度和均匀性非常重要,它直接影响后续光刻工艺的质量,从而影响成品芯片的性能、良率和可靠性[33]。 因此,如何涂好光刻胶是一门学问,而负责涂光刻胶的设备就是涂胶和显影设备。

不同的光源对涂胶和显影设备有不同的要求。 早期低端芯片制造多采用涂胶显影设备(Off Line)。 显影设备和光刻系统都是在线(In Line)生产的[34],它随着光刻技术的进步而进步,并随着光刻精度的提高而增加技术难度。

涂布、显影设备随着光刻工艺迭代,技术难度增加[35]

涂布显影设备不是一种设备,而是一类设备的名称。 光刻工艺中的涂布、显影工艺包括HMDS(六甲基二硅氮烷,增粘剂)预处理、涂布、前烘、曝光、后烘、显影和膜硬化,其中主要使用的设备是上胶、曝光和显影3各种设备。

涂胶显影设备结构复杂,实施难度大。 不同厂家对设备结构和形式有各自的理解,但基本上都是由功能相似的单元模块组成,包括几十个功能模块组和配套机器人,数百个功能单元,数以万计的零部件,如箱站单元CS、箱站机械臂CSR、工艺机械臂PSR、涂胶单元COT、显影单元DEV、加热/冷却OVEN单元、对中单元CA、边缘曝光单元WEE等。此外,还涵盖了机械等多学科技术运动、温湿度及内部环境控制、系统调度与控制、化学反应与化学控制。 [21]

光刻工艺流程图[21]

粘合剂显影机约占半导体设备价值链的5%。 从全球范围来看,日本TEL(东京电子)、德国SUSS(休斯微科技)、奥地利EVG、中国沉阳鑫源等公司都有成熟的解决方案,但TEL基本处于垄断地位。

从数据来看,2019年,TEL占据全球涂胶和显影设备市场近87%的份额,DNS()等公司占据剩余13%的市场份额; 2019年,TEL占据国内涂胶及显影设备市场近91%的份额,DNS占5%,国内鑫源微产品仅占4%。 [35]

2019年全球及中国大陆橡胶涂料发展行业市场情况[35]

对于国内生产来说,涂胶、显影设备销售的难点在于下游客户的工艺验证。 由于涂胶、显影设备与光刻机关联度高,设备厂商需要提供光刻机、掩模版、测试设备、方案等资源的配合,验证过程复杂且漫长,给厂商增加了难度。申请。 [36]

蚀刻机

刻蚀机和光刻机是好朋友,它们都决定了成品芯片的性能。 例如,如果要制造5nm芯片,光刻机和刻蚀机都必须具备5nm工艺能力。

光刻机的原理是利用光将掩膜板的电路结构复制到晶圆上,刻蚀机根据光刻机复制的结构在晶圆上显微刻出凹槽或接触孔。 例如,光刻机就像工匠在木板上画线,而蚀刻机则根据木板上画的线雕刻图案。

在蚀刻过程中,晶圆被烘烤和显影,一些抗蚀剂被洗掉,露出开放通道的 3D 图案。 迄今为止,纳米级芯片已由数十层甚至数百层组成。 在此过程中,如何保证精确形成完整稳定的芯片结构是一个难点,避免在刻蚀过程中损坏多层微芯片的底层。 结构或在结构中创建空腔。 [27]

蚀刻分为湿法蚀刻和干法蚀刻两种。 湿法蚀刻使用化学品来清洁晶圆,而干法蚀刻基于晶圆上图案的气体暴露。 由于芯片自20世纪80年代以来不断缩小,湿法蚀刻的局限性逐渐凸显,包括无法用于3微米以下的图案、容易造成蚀刻图案变形、潜在毒性和液体污染等。并且需要额外的清洁和干燥步骤。 逐渐被干法刻蚀所取代,这两种刻蚀机目前在各自的领域发挥着重要作用。 [37]

干法刻蚀分为等离子刻蚀、反应离子刻蚀、离子束刻蚀三种方法。 根据其不同的特性,在工艺步骤中使用它们。 其中,电容式等离子刻蚀和感应式等离子刻蚀两类设备覆盖了主要的刻蚀应用。

蚀刻机的制造技术难度极大。 以等离子刻蚀机为例,需要电感耦合等离子体源。 为了保证等离子体的质量,需要超高真空。

三种干法刻蚀方法的比较[37]

刻蚀机在半导体设备价值链中的占比高达25%,市场增速也非常明显。 数据显示,2022年全球半导体刻蚀设备市场规模约为113亿美元,预计2023年至2031年将以7.6%的复合年增长率增长,到2031年将达到217亿美元。增长在于蚀刻机在逻辑/存储领域变得越来越重要。 [38]

蚀刻机被国际巨头垄断。 数据显示,2021年全球蚀刻设备行业前三名将是泛林半导体( )、东京电子(Tokyo )、应用材料( ),三者合计占据90%以上的市场份额,其中LAM的市场份额为46%,处于领先地位。 [17]

2021年全球蚀刻设备竞争格局[17]

国产蚀刻机大多由国际品牌供应,而国外蚀刻机在国内的价格一般可达数百万元一台。 他们之所以占据如此主导地位,是因为他们在很多年前就已经开始不断整合。 并购寻求垄断溢价。 例如,应用材料公司曾与东京电子合并,泛林半导体与科雷也寻求合并,试图通过强强联合打造一个联合体。 [39]

国外蚀刻机主要制造商[39]

当然,在蚀刻机领域,国产化不可能一蹴而就。 蚀刻机对加工精度要求极高。 例如,16nm等离子刻蚀机的加工规模仅为头发丝的五分之一,而其加工精度和重复精度的要求则必须达到头发丝的五万根。 三分之一。 这不仅仅是蚀刻机领域的问题,而是与国内精密加工机床等设备的发展有关[39]。 目前,中微半导体、北方微电子、金盛微纳科技等国内企业已逐步实现主流工艺设备出货,正在不断追赶国外巨头。

国内主要刻蚀机厂家[39] 薄膜沉积设备

薄膜沉积 ( ) 是用 1m(微米)或更小的分子/原子材料覆盖晶圆表面的技术,可以使原本不导电的晶圆变得导电。

打个比方,就像是用物理或化学的方法,将电子气变成固体,从空气中均匀地撒下,最后形成一层像白纸一样薄的薄膜,然后在这片白色的薄膜上绘制出精细的电路。 在纸上。 [40]

薄膜沉积可分为物理气相沉积(Vapor,PVD)和化学气相沉积(Vapor,CVD)。

PVD是通过真空蒸镀、溅射镀膜等物理方法形成的薄膜,主要用于沉积金属及金属化合物薄膜。 已广泛应用于集成电路领域的Ti、TiN、Al等金属工艺,以及Fan-out、Ti/Cu-、TiW/Au-Gold Bump、Si基、SiC基IGBT和GCT器件在功率半导体领域,Ti、Ni、NiV、Ag、Al、Cr、TiW、SiO2、ITO等薄膜技术。

CVD是通过混合化学气体的反应在基材表面沉积薄膜的工艺。 主要用于沉积介质薄膜,已广泛用于制备SiO2、Si3N4、SiCN、SiON、磷硅酸盐玻璃、硼硅酸盐玻璃、硼硅酸盐玻璃等介质薄膜材料,Si、Ge、SiGe等半导体薄膜材料、GaAs、InP、GaN、SiC以及W、Al、Cu、Ti、TiN、金属硅化物等金属化薄膜材料。 [41]

薄膜沉积制备技术有多种类型,PVD包括蒸发()、溅射、离子束工艺设备,CVD包括热化学气相沉积(APCVD、LPCVD、MOCVD)、金属气相沉积(MCVD)、等离子气相沉积( PECVD)、原子层沉积(ALD)等,根据薄膜所需材料的不同,生产所采用的工艺设备也不同,大致来说:

主要薄膜沉积方法[42]

薄膜沉积在制造设备中价值比重较高,其中CVD约占17%(ALD约占4%),PVD约占5%。 与此同时,薄膜沉积设备行业仍是高度垄断的行业。

从全球市场来看,在CVD领域,美国应用材料公司(AMAT)、泛林半导体(Lam)、东京电子(TEL)合计占据全球70%的市场份额。 其中,先进制造工艺所需的ALD设备由Tokyo (TEL)、 (TEL)、ASM两家公司提供,占据全球近50%的市场份额; PVD领域主要被美国应用材料(AMAT)、瑞士、日本Ulvac垄断,其中应用材料占据近85%。 [42]

CVD、PVD、ALD全球市场格局[41]

国内薄膜沉积领域的竞争与国外巨头不同。 国外巨头产品丰富、技术覆盖面广,而国内竞争主要集中在差异化领域。 主要产品为PVD,微导纳米主要产品为ALD,盛美半导体主要产品为电镀产品。 [41]

热处理设备

在芯片制造过程中,有很多涉及700℃~1200℃的高温热处理步骤。 这些工艺通常在高温炉中进行,包括氧化、扩散、退火等主要工艺。 [43]

芯片制造过程通常从氧化过程开始,这也是最重要的加热过程之一。 当晶圆暴露在大气中时,里面的物质会与氧形成氧化膜,就像铁暴露在大气中会氧化生锈一样。 因此,氧化的作用是在晶圆表面形成一层保护膜,保护晶圆免受化学杂质的影响,防止漏电流进入电路,防止离子注入时的扩散,防止晶圆在蚀刻时打滑。 [44]

氧化工艺包括热氧化()、等离子体增强化学气相沉积(Vapor、PECVD)和电化学阳极氧化,其中热氧化是最常用的方法。 根据氧化反应所用气体的不同,热氧化法又分为干式氧化(Dry)和湿式氧化(Wet)。 [45]

氧化工艺分类及特点,绘图丨国科硬科技

参考文献丨三星[45]

扩散工艺的主要作用是在高温条件下对晶圆进行掺杂,但这主要存在于20世纪70年代之前的早期工艺中。 当时芯片图形的特征​​尺寸大多在10m量级。 本案很少采用扩散掺杂工艺。

退火工艺是将硅片置于高温环境中一段时间​​,以改变其表面或内部微观结构。 它通常与离子注入、薄膜沉积和金属硅化物形成相结合。

氧化、扩散、退火等加热工艺的基本设备有卧式炉、立式炉和快速加热炉(RTP)三种。

热处理设备约占半导体设备价值链的3%,全球热处理设备市场被寡头垄断。 应用材料公司 ( )、东京电子 (Tokyo ) 和日本同行国际电气 ( ) 的市场份额合计超过 80%。 国产非激光退火设备亿唐半导体市场份额为5%,北方华创市场份额为0.2%。 [46]

2018年全球热处理设备竞争格局[47] 离子注入设备

要使不导电的纯硅成为半导体,需要在硅中添加氮、磷等物质,形成PN结(PN,半导体结构),进而制造晶体管和各种半导体器件。 其中,在硅中人工添加元素的过程就是掺杂()。

掺杂过程非常重要。 只有经过掺杂后,晶圆才会具有导电性,才能称为半导体。 不仅如此,掺杂还决定了半导体能够实现哪些功能或特性。 通过改变半导体的电学性质,如电导率、载流子类型和浓度、能带结构等,人们可以自由地控制半导体。

例如,在硅材料中掺杂五价元素磷或砷可以得到N型半导体,掺杂三价元素硼可以得到P型半导体。

在芯片制造中,可以在单晶生长的早期掺杂杂质,例如在CVD生长过程中掺杂特定的施主或受主元素,使薄膜中的某些原子被相应的元素取代。

对于已经制造出来的晶圆,主要采用热扩散()和离子注入()两种工艺进行掺杂。 正如本文热处理设备部分提到的,热扩散工艺很难实现选择性扩散,所以除了特定的工艺之外,基本上都被离子注入所取代。

离子注入的原理非常简单易懂。 它是利用高能电场加速杂质离子,直接轰击半导体表面,最后挤入晶体内部。 离子注入设备就像一个神枪手,将各种元素准确、均匀地射入晶圆中。 深度(颗粒范围)。

根据离子束能量范围和束流大小,离子注入设备有低能、中能、高能、兆伏、小束流、中束流、高束流。 但在实际应用中,60%以上的案例是采用低能量大束流离子注入设备来制造逻辑芯片、DRAM、3D NAND和CIS芯片等; 18%采用高能离子注入设备制造功率器件、IGBT、5G射频、CIS等; 20%使用中束离子注入设备。 [48]

离子注入设备分类及说明、图丨国科哈德科技

参考文献丨头豹[49]

离子注入设备在制造设备中的价值并不大,约为3%。 2021年全球离子注入设备市场规模约为24亿至26亿美元。 预计长期来看,该市场将在2030年增长至42亿美元。 [50]

全球离子注入设备制造商仅有9家(包括半导体、光伏、面板),且在半导体领域被美国应用材料公司(AMAT)和美国阿什利科技公司( )垄断,合计全球市场份额两家公司的占比接近88%。 [49]

全球离子注入设备市场现状、图景丨国科哈德科技

参考文献丨中银证券[50]

国内市场上只有凯世通和中科信可以生产离子注入机。 此外,美国应用材料公司(AMAT)、美国阿什利科技公司( )、美国AIBT公司、日本住友公司()等供应商接管了大部分国内晶圆厂的市场份额,部分代表性晶圆厂的国产化率仅为1%~3%。 [50]

化学机械研磨设备

随着制造工艺不断微缩,晶体管的尺寸越来越小,因此晶圆对平整度的要求越来越高。 在这种情况下,需要化学机械平坦化(CMP)工艺来实现晶圆表面平坦化。

顾名思义,CMP是一种化学蚀刻和机械研磨协同的工艺。 与传统的纯机械和纯化学不同,CMP可以有效避免纯机械表面损伤和纯化学抛光速度快、表面平整度和抛光一致性差的缺点。 [51],这个过程在芯片制造中重复多次,无论是在光刻之前、薄膜沉积之后还是在先进封装中。

可以说,工艺节点越小,需要的CMP次数就越多。 例如,从180nm到14nm,CMP工艺步骤数将从10倍增加到20倍以上,7nm工艺中CMP步骤数甚至会超过30次。 [52]

CMP设备分为金属机和非金属机。 非金属机台主要有金属间介电平坦化(IMD CMP)、层间介电平坦化(ILD CMP)及浅沟槽隔离平坦化(STI CMP)等,金属机台主要有铜、钨、铝等。

CMP设备由抛光、清洗、输送三个模块组成。 工作时,抛光头将待抛光晶圆表面压在粗抛光垫上,通过抛光液腐蚀、颗粒摩擦、抛光垫摩擦等方式实现整体平坦化。 所以抛光液和抛光垫是加工过程中的两大消耗品。 [53]

CMP技术是从0.35m工艺节点开始大规模应用的新技术。 过去,它只是一只不被看好的丑小鸭。

20世纪90年代初,由于光刻技术对平坦度的追求日益强烈,CMP应运而生,用于平坦化后端(BEOL)金属布线的层间电介质。 此时,这项技术并没有引起业界的热情。 专注于。 20世纪90年代中期,0.35m工艺技术时期,浅沟槽隔离抛光(STI CMP)取代了LOCOS,钨抛光(W CMP)取代了回蚀。 21世纪初,铜抛光(Cu CMP)的出现使得0.13m后端铜工艺成为现实,随后Cu CMP一直持续使用到90/65/45/32/28/22nm。 近年来,随着工艺节点不断缩小,对CMP的要求更高,新的CMP应用层出不穷。 [54]

CMP技术发展史,参考资料丨《纳米级电路制造工艺》[54],果壳硬科技重置

目前最先进的5/3nm工艺仍然采用CMP技术。 同时,12英寸晶圆仍然是最先进工艺采用的尺寸标准。 因此,未来CMP设备不会出现技术迭代周期。 核心模块技术和控制系统将不断升级。 [55]

CMP设备约占半导体设备价值链的3%,而美国应用材料公司和日本荏原公司合计占据了CMP设备全球90%以上的市场份额。 两家公司的CMP设备均已达到5nm工艺技术水平。 高端CMP设备还由美国应用材料公司和日本荏原公司提供。 [55]

全球CMP设备市场情况、列表丨国科哈德科技

参考文献丨国金证券[56]

国内目前以低端产品为主,12英寸高端CMP设备正处于产品验证阶段。 其中,华海清科CMP设备已正式进入集成电路生产线,盛美半导体CMP设备主要用于65~45nm铜互连技术,由中国电科四十五院CMP技术专家创办的杭州中德公司也逐步在集成电路生产中投入使用。迈出新的一步。 [51]

清洁工具

半导体中的清洗技术是指在氧化、光刻、外延、扩散、铅蒸发等半导体制造工艺之前,采用物理或化学方法去除污染物和自氧化物的过程。

芯片清洁度严重,这是由于污染引起的缺陷导致芯片电气故障,比例高达80%[57]。 如果晶圆制造过程中存在污染物没有完全清除,轻则影响晶圆的良率,重则导致整片晶圆甚至一批晶圆报废。

清洗可以贯穿芯片制造的整个产业链,占半导体制造工艺步骤的30%以上。 SEMI数据显示,80nm~60nm工艺中清洗工艺约有100个步骤,20nm~10nm工艺中清洗工艺增加到200多个步骤[58]。 也是重复次数最多的过程,包括三类过程:

根据清洗介质的不同,半导体清洗分为湿式清洗和干式清洗。 前者采用去离子水和化学溶剂,辅以超声波、加热、真空等物理方法对晶圆表面进行清洗。 后者不使用化学清洗。 溶剂清洗技术。 其中,90%的清洗步骤均采用湿式清洗技术,但两者缺一不可,且各自发挥着不同的作用。

清洁设备约占半导体设备价值链的6%。 从国际国内清洁设备的现状来看,马太效应显着。 全球半导体清洗设备市场高度集中。 数据显示,DNS(迪恩斯)、TEL(东京电子)、SEMES和LAM(泛霖半导体)分别占据2020年全球半导体清洗设备市场份额的45.1%、25.3%、14.8%和12.5%。 [59]

国内能提供半导体清洗设备的企业很少,主要有盛美半导体、北方华创、鑫源微和智春科技等。 目前,国内四家公司均已掌握130nm~28nm主流工艺清洗设备技术,其中盛美美国半导体已在研究7/5nm清洗设备技术。

与其他类型半导体设备相比,国产清洗设备增速明显,国产化率从2015年的15%提升到2020年的20%[60]。 国内短板主要在于先进的湿法清洗设备。 DNS(德安士)、TEL(东京电子)、LAM(林半导体)、SEMES占据了单片清洗设备90%的市场份额。

测试测量设备

集成电路的生产工艺复杂。 仅前台进程就有数百个进程。 量变导致质变。 每道工序的缺陷都会随着时间的推移被放大数倍甚至数十倍。 因此,只有保证每道工序不存在缺陷,才能保证最终产品的性能。

也就是说,生产的每一步,都要检查生产情况。 就像医疗领域的CT、彩超、生化分析仪等辅助检测身体状况一样,这些对芯片前端工艺进行“体检”的工具都是检测测量设备。

几纳米的误差、尺寸变化、颗粒或图像错误都会导致芯片无法正常工作。 如果前端工序中各工序的良率损失0.1%,则最终的良率将降低至36.8%[61]。 检验测量设备作为两大前端检测设备,可以有效控制制造过程,提高产量。

半导体测试测量设备研发难度大,需要大量投资,但市场空间不像中下游集成电路或芯片那么大,增速也比较稳定。 但它占制造设备价值的12%左右,占比较大。

数据显示,全球半导体测量设备将从2021年的73亿美元增长到2031年的133亿美元,复合年增长率为6.2%。 同时,该领域全球集中度极高。 材料()和日立()分别占据全球市场的50.8%、11.5%和8.9%。 [63]

我国半导体测试测量设备国产化率极低。 2020年,我国半导体测试测量设备国产化率约为2%。 科磊半导体、应用材料、日立三大公司分别占据我国测试测量设备市场。 54.8%、9.0%、7.1%。 我国整体市场约占全球市场的27.4%。 据测算,2023年我国测试测量设备市场规模将达到326亿元。[64][65]

2020年全球半导体测试测量设备市场结构[62] 3、前端工艺:材料消耗大户

材料是芯片生产的基石。 从古至今,历史的发展都与材料密切相关。 每个时代都标有相应的物质名称,如石器时代、陶器时代、青铜时代、铁器时代、瓷器时代[66]。 纵观目前,被卡住的35项技术几乎全部与材料有关。 [67]

半导体行业无疑是一个玩材料的行业,涉及到的材料种类和需求量都非常大,而这些材料也将是前处理中至关重要的一环。

半导体材料分为晶圆制造材料和封装材料。 加工前期消耗的材料主要包括硅材料、靶材、CMP抛光材料、光刻胶、湿电子化学品、电子特种气体、光掩模等[9]

SEMI数据显示,2015-2021年全球半导体材料占整体行业市场规模,呈现先降后升的趋势。 2021年,全球半导体材料市场约占全球半导体产业总量的11.56%[9]。 2022年,全球半导体材料市场销售额将达到727亿美元,较2021年的668亿美元增长8.9%。其中,晶圆制造材料和封装材料销售额为447亿美元和280亿美元,分别占全球材料市场的20%。 分别占销售额的61.5%和38.5%。 此外,2022年中国大陆材料销售额为129.7亿美元,约占全球市场的17.8%。 [68]

2021年全球半导体材料分类规模占比[9] 硅材料

半导体行业的硅消耗量巨大。 统计显示,2015年至2021年,国内半导体硅材料市场规模从101.6亿元增长至250.5亿元,复合增长率达16.2%。 比例较高。 [9]

2015-2021年中国硅材料市场规模柱状图[9]

半导体硅材料的价格与半导体晶圆行业的景气度挂钩。 根据SEMI数据,硅材料的价格已从2016年的每平方英寸0.67美元上涨至2021年的每平方英寸0.98美元。 [9]

全球半导体硅片平均售价[9]

光掩模是光刻工艺中的重要材料,用于选择性阻挡曝光、辐射或材料穿透的掩模模板。 简单来说,光罩就是光刻工艺中的底片,可以将上述图案复制到晶圆上。 根据用途,掩模版分为主掩模版、掩模版、工作掩模版、相移掩模版等。

如今,在媒体乃至官方刊物上,经常出现“面膜板”或“面膜板”等错误用词。 膜”,而面膜版的“版”是“已发表的版”,而不是“版”的“版”。[26]

掩模版本身也是通过光刻工艺生产的,但与芯片不同的是,掩模版本身比芯片大得多,因此通常不使用DUV和EUV光刻等难度大且昂贵的光刻技术。 光学图案生成器、激光图案生成器和电子束图案生成器执行掩模图案曝光。 [26]

光罩约占全球半导体材料市场的12%。 预计2021年全球光罩市场规模为77.16亿美元,其中24%为显示面板用光罩,65%为集成电路用光罩。 [69]

平板显示方面,丰尼克斯(Fonix)、SKE、HOYA(豪雅)、LG-IT、青艺光电、鲁微光电分别占据全球市场份额的24%、22%、21%、21%、7% 2020年分别为5%; 集成电路方面,全球65%的市场由半导体厂商(如英特尔、三星等)生产,第三方公司(Fonix)、(凸版印刷)、DNP(大日本印刷)分别占据2020年全球市场份额分别为11%、10%、8%。 [70]

2020年全球口罩市场,制表丨库尔哈德科技

参考文献丨安信证券[70]

光刻胶

光刻胶又称“光刻胶”,是光刻成像的载体介质。 它可以利用光化学反应将光刻系统中衍射和过滤的光信息转化为化学能,从而将精细图案从掩模版转换到光刻胶上。 将模板转移到待处理的基板上。 广泛应用于光电信息产业微图形电路的加工生产,是微加工技术的关键材料。

总之,光刻胶是光刻工艺中最重要的耗材,其性能决定了成品的精度和良率。

从用量上看,溶剂(主要是丙二醇甲醚醋酸酯,简称PMA)是使用最多的材料,含量高达90%,但在成本上并不突出,起不到关键作用; 作为光化学反应的核心部分,光引发剂的用量仅为1%~6%左右; 不同光刻胶产品的树脂用量差异很大。 [71]

成本方面,在半导体光刻胶领域,工艺越先进,树脂成本越高:以KrF(氟化氪)光刻胶为例,树脂成本高达75%左右,而感光剂成本约为23%。 %,溶剂约为2%。 [72]

根据曝光波长不同,半导体光刻胶又可分为普通广谱光刻胶、g线(436nm)、i线(365nm)、KrF(248nm)、ArF(193nm),以及最先进的EUV(

其中,ArF光刻机涉及干法和浸没式两种工艺(区别在于透镜与光刻胶之间的介质是空气还是液体),ArF光刻胶也分为干法和浸没式。 EUV光刻胶是制造难度最高的产品,也是7nm及以下芯片加工工艺中的核心原材料。

预计2019年全球光刻胶市场规模约为91亿美元,2010-2019年复合增长率约为5.4%,预计2021年这一数字约为100亿美元; 人民币左右[73]。 其中,全球半导体光刻胶市场规模约为17.5亿美元。 [74]

从细分市场来看,ArFi光刻胶(即浸没式ArF光刻胶)和KrF光刻胶市场份额最大,均在30%以上,其次是g/i光刻胶,市场份额约为17%,EUV光刻胶而其他类型的半导体光刻胶总量仅占1%左右。 但从未来发展的角度来看,作为代表先进集成电路发展趋势的EUV光刻机的关键耗材,EUV光刻胶对于推进5nm以下芯片制造工艺起着关键作用,其增速无疑是最好的。 [75]

从整体业态来看,全球光刻胶市场高度集中,日本和美国占据大部分市场份额。 日本JSR、东京应化、信越化学、富士胶片占据全球70%以上的市场份额,整体垄断地位稳固。 [75]

全球光刻胶市场份额[75]

在全球半导体光刻胶市场,日本企业拥有稳定的垄断地位。 2020年,日本企业将占据全球半导体光刻胶市场至少60%的份额,其中东京应化占据主导地位,市场份额为25.6%; 美国杜邦公司以17.6%的市场份额排名第二。 细分市场方面,2020年日本东京Ohka在g/i线、KrF、EUV光刻胶市场份额方面均排名全球第一; JSR以24.9%的市场份额主导ArF光刻胶市场。 [74]

2020年全球半导体光刻胶行业份额[74]

国内,相对低端的PCB光刻胶仍占国内供应量的94%左右,而高端面板光刻胶和半导体光刻胶则很少[76]。 具体到半导体,目前适用于6英寸硅片的g线、i线光刻胶自给率约为10%,适用于8英寸硅片的KrF光刻胶自给率不足5%,而适用于12英寸硅片的KrF光刻胶自给率约为10%。 硅片用ArF光刻胶基本依赖进口,更先进的EUV的研发还处于非常早期的阶段[77]。 从产能来看,国内企业的产品仅g/i线光刻胶实现了批量应用,只有少数KrF研发进度领先的企业实现了小批量应用。

电子气体

任何电子产品都逃不出电子气,电子气是重要的基础原材料,被称为工业制造的“血液”或“食物”。 电子气仅占芯片总成本的5%~6%[78],但下游厂商对电子气有刚性且稳定的需求,导致对价格敏感度较低,使得电子气具有较强的成本转移能力。

电子气体分为两类:电子特种气体和电子散装气体[79],两者对于制造业都极其重要。 电子大宗气体和特种气体应用广泛,涉及集成电路制造、光伏制造、显示面板制造等领域。 不同领域使用的气体之间存在一定的交集。 其中,三氟化氮(NF3)、硅烷(SiH4)和氨气(NH3)是集成电路制造、光伏制造、显示面板制造等领域的三种主要气体。

电子产品是大胃王,一个产品需要几十甚至上百种电子气体。 以集成电路为例,涉及的电子气体有100多种,核心工艺约有40-50种[80]。 每种气体的量虽然不是很大,但是种类很多,整体的量就变大了。 从全球市场份额来看,硅烷约22%,三氟化氮13%,离子注入气体10%,氟碳化合物6%,六氟化钨4%,笑气4%。 3% 的锗烷、3% 的高纯氨和许多其他气体。 [81]

各制造领域所需气体条件、制表丨国科硬科技

目前,美国空气化学公司、德国林德集团、法国液化空气公司、日本大阳日酸四大海外巨头占据了全球约90%的市场份额[82]。 我国使用的电子气体主要是外资。 国内一些企业虽然具备生产高纯电子气体的能力,但进入集成电路领域却存在困难[83]。 集成电路用电子特种气体我国只能生产该品种的20%左右[84],国产化率不足15%[85]。 与此同时,国内高端电子产品几乎全部依赖进口。 [86]

到目前为止,国内电子燃气播放器分为三类:

2020年我国电子大宗气体市场规模为1632亿元,同比增长10.49%。 预计2023年将突破2000亿元,达到2172.2亿元。 由于细分行业壁垒较高,目前国产化率较低[88]; 2010年我国特种气体市场规模预计将达到342亿元,其中电子特种气体预计将达到216亿元,电子特种气体占特种气体的近60%。 [82]

对比国内外发展情况,国际厂商的供气客户均为尖端厂商,产品品种覆盖范围更广,供气方式更丰富,大部分可以提供TGM供气模式。 国内缺乏高端燃气技术,仅有少数企业拥有TGM车型。 从技术角度来看,我国虽然在气体净化技术、容器加工技术、气体充装技术和检测技术等方面已达到国际水平[89],但整体水平与国际水平仍有差距。

加工化学品

工艺化学品( )是国际上的统称。 在美国、欧洲和中国台湾也称为湿化学品。 在国内,也有“电子级试剂”、“超洁净高纯化学试剂”等更精确的说法。 way of . This uses the -wide term wet .

Wet are , and SEMI ( and ) also them into one for , which the yield, and of [90]. In , the total steps from 28nm to 5nm will from 400 to more than 1200, of which the for 25~30% of the total , the for high-end wet . [91]

As the name , wet are used in such as , , ion , CMP, , etc., and can be into wet and wet .

and of wet [92]

to gases, wet also for high . At , the SEMI is all over the world. to the of metal , size, , and IC line width, are for .

SEMI for Wet [92]

At , large-scale of wet Basf in , in the , Arch in the , in the , Baker in the , Kanto in Japan, in Japan, and Kyoto in Japan. , Japan , Japan Wako Pure (Wako), Japan -, Korea , etc. my 's main and multi-, , Runma, , , , Wuxi , , etc. [93]

major wet and their , 丨

丨 [92]

to the data, the size of wet for is US$5.69 in 2022, and can grow to US$6.381 in 2025, of which China's size will grow to US$1.027 in 2025. [91]

my 's wet have in the field of solar cells, their share in the and flat panel is only 23% and 35%. It only for 9% of the , and it is for ultra-clean and high- to meet the needs of the both in terms of and . [94]

of China's ultra-clean and high- in 2019[94]

The is the raw for thin films, and the () is a kind of vapor (PVD) .

The is of blank, back plate and other parts, among which the blank is the core part and is the by high-speed ion beam.

of [95]

are used, chips, flat panel , solar cells, etc. For , are put for metal .

, chips have the for , which set for the of metal and , , , , , - , etc. The is above 5N (99.999%).

Metal and for [95]

The of in each link of the is in a shape. The high- for the most high-end are , and only a few such as Metal, , Tosoh, and and can [95], 百思特网and the four more than 80% of the . [97]

In , the of from to . and have the most chain, South Korea and have in the field of and thin films, while my late, , New , , There are four in , some of which have high-end of .

and ultra-high- [97] CMP

CMP fluid is an in the CMP , for about 50% of the CMP cost. It is of , water, pH , and and other . Among them, nano- are the raw , sol, SiO2, CeO2, nano-scale Al2O3 , etc. [90]

The fluid for wafer is to US$2 in 2022 and reach US$2.6 in 2026. , the rate is to be than that of the . In 2025, the fluid is to for 25% of the , 4 yuan, and the rate from 2021 to 2025 will reach 15%. [91]

At , there are only a few in the world, Cabot, ,, and Dow, five and . The five for 80% of the CMP share in 2018, while only Anji in China for only 2.44% of the share [98]. The Cabot also most of the , and its can reach 15~20nm.

and of CMP fluid in 2018[98]

In , in China, the rate of CMP fluid is about 5%, and major Anji , , and . Among them, some of Anji have the 8-inch and 12-inch chip lines at home and . has been made in fluid. [13]

4. : into chips

After the is , there is only one step away from the chip. At this time, the chip just cut from the wafer is just a bare chip (die), which needs to be it can be a chip. , the chip can be to our hands. 里面。

In the , and are into one field, that is, and (ATM), and the , , , , , , , rib and , , , and , etc. [99]

To put it , it is to test each chip is cut from the wafer with the on it. After the test, it is into a chip like a , and then the of the chip is again.

to the , in the cost of chip , for about one-third, chip for about one-third, and and also for about one-third, which is an part of chip [100]. Among them, the value of the link for about 80% to 85% of the and ratio, and the link for 15% to 20%. [101]

and is the link in my 's . So far, it has a link in my 's chain. As early as 2010, my had sales of 63.2 yuan in and , and its value once for more than 70% of the total value of my 's . [99]

Like the front-end , and also and . to the SIA , the back-end two types of and , and the are . [60]

Chips are small and thin, and can be and if not . is to a shell for the chip, and pins for later and use. [102]

There are , and will a . In , when with are , the the the , the the . , the to more . 。 In 's terms, it is to make this layer of shell and and more .

From the 1970s to the , a large of have been born, a trend of .

From the of , is into and . the in-line DIP, small SOP, flat QFP, ball grid array WB BGA, etc.; with , has , , and lower cost. At the same time, it is by , , pitch, and high , flip-chip FLIP-CHIP, wafer-level WLCSP, fan-out INFO, and 2.5D / 3D. [103]

Five of [101]

with , is the focus of . It is the key to the "four walls" of " wall", "area wall", "power wall" and " wall". As early as 2012, that using 2.5D and 3D would grow from about 60 in 2012 to over 500 in 2016. [100]

In , (small chips, also known as dies) is . It to the of a -on-chip (SoC) into small chips, and then them using to form a -in- (SiP), just like . the cycle, the cost, power, and yield. [104]

[105]

At , there are many in chip , (IDMs), wafer () and and (OSATs).

When the of the chip the line of Moore's Law, 3D , fan- (FOWLP / PLP), fine-pitch wire , and -in- (SiP) have to Moore's Law, and the and has from and to and , the of in the to .

to Yole data, the size will be 37.4 US in 2021, and it is to reach 65 US in 2027, with a rate of 9.6%. In , the will grow more , the main in the and . 增量。 [106]

of from 2021 to 2027[106]

From the of IDM and IDM, the are the of , and they are vying for . TSMC chip on wafer on (Chip on Wafer on, CoWoS) , fan-out (Fan-Out, InFO) , chip (on Chips, SoIC), etc.; Intel EMIB (2.5D ), (3D) and Co-EMIB and other ; Fan-Out Panel Level (FOPLP) .

From the of and ( IDM's own and and and ), data from Chip (Chip) shows that the of and in 2022 will be 3154. 100 yuan, a year-on-year of 9.82%, of which the of the top ten 245.9 yuan, a year-on-year of 10.44%. [107]

share of the top ten and in 2022[106]

In 2020, the scale of my 's will reach 250.95 yuan, of which the scale of the will be 35.13 yuan, for about 14%. It is that the scale of my 's will reach 113.7 yuan in 2025, for 32.0%. [108]

The is long, , chip , , wire , , , , , rib and , etc. [109], each a kind of , Chip , , solid , , , , etc.

From the of , the three main of , and lead wire for 30%, 28% and 23% of the . It is that in 2021, and will The space to lead and wire is 2.10 US , 1.96 US , and 1.61 US . [110]

of [110]

to SEMI da百思特网ta, the size in 2021 will be US$7.17 [111], most of which are by , of which K&S ball for 64% of the , Disco and The share is more than 2/3. Besi and ASM the chip . Besi, Japan Towa, ASM and Japan are the main of . [110]

In terms of , the rate of and is less than 5%, wh百思特网ich is lower than the rate of 10% to 15% for [109]. Among them, the is by the 45th of China Group , Wuhan , , Heyan and , and the is by , Aike Ruisi, Jia Feng is the , and is by Wenyi and Anhui Naike .

Main and of [111]

The test is and after the , wafer (CP, also known as mid-test) and test (FT, Final Test, also known as final test).

To put it , it is to test each chip is cut from the wafer with the on it. After the test, it is into a chip like a , and then the of the chip is again.

The three types: (), probe (), and (Test). it is wafer or , the test chip needs to first the chip pins to the of the (probe The role of the and the ), and then input the to the chip the , and the . [112]

Among the three types of , the is and the are also . Not only that, also put more and more on , speed, , and , , and . 更高的要求。

and is the link in my 's . So far, it has a link in my 's chain. As early as 2010, my had sales of 63.2 yuan in and , and its value once for more than 70% of the total value of my 's [113]. In 2020, my 's will reach 9.14 yuan, and it has the world's sales for many years in a row.

it seems to be , the share of the core test is low. By the of and JCET from 2015 to the , the are .

In 2019, the two share of ( ) and ( ) of Japan 90%, for 91.2% of the test . In , Cohu of the , such as (), () have also been among the top for a long time. In , in the , and for only 6.1% of the share, and for 2.4%. 【114】

In , Advan and the field of as early as the 1960s and 1970s, while my late, so the line is , on / , while focus on SoC . There are three types of , , and / .

In terms of probe , Tokyo and 73% of the share, and two , Whit () and MPI (MPI), most of the share. 【114】

of ATE from and [114]

can be into three : , and by type. Among them, more than 90% are made of , and and for about 10%. [115]

and of , 丨Guoke Hard

丨 "China " [116]

to the , are into four main : , lead frame, wire, and . The share is 32.46%, 16.75%, 16.23% and 6.81%, .

SEMI 数据显示,2022 年~2027 年,全球半导体封装材料市场规模将从261 亿美元增长至298 亿美元,年复合增长率达2.7%。 [117]

5、写在最后

不可否认的是,虽然国内陆续涌现一批有实力的制造企业,但相比国际仍存在5 年以上的技术落差,缺乏高端技术和产品。芯片制造从晶圆制造、前期芯片加工到封装测试层层相扣,但凡其中一个环节存在落后,都会成为国产芯片制造的痛点。[118]

业界也普遍认为,国产半导体设备自给率低主因在于系统、终端、制造和封测厂商习惯性采购国外大厂产品,造成本土设备难以自证自身实际生产制造能力。[119]

除此之外,造芯片,晶圆厂是关键,这其中也蕴含许多门道。

首先,半导体晶圆制造属规模经济行业,必须在不同地区建立多座制造工厂以充分利用闲置资源,如台积电在中国、美国、新加坡等地均设有晶圆厂。

其次,晶圆厂一经建立,必须保持全年每日24 小时不停歇运转,长时间持续生产难免产生性能下降、故障率增加等问题,预防性维护是做晶圆厂的必修课。

另外,晶圆厂对加工工厂的空气洁净度、湿度、温度等指标都有严格的要求,要知道芯片制造的无尘室内洁净度能够达到医院手术室的倍。

最后,制造业属高耗能产业,生产过程产生大量污染环境的有害气体和液体,废水废气处理和低碳都是关键。 [120]

可以说,芯片制造是国产芯片的最为重要的推动力,只有当我们全面拥抱制造,才能真正支撑起来芯片设计和应用等环节,而这将会是不断的投入和企业不断的整合并购。

本文地址:https://www.best73.com/zdmzt/268616.html
特别声明:以上内容来源于编辑整理发布,如有不妥之处,请与我方联系删除处理。
热门资讯
查看更多